diff --git a/xml/constraints.rng.in b/xml/constraints.rng.in index 577ed1c1e9..e2c73876d5 100644 --- a/xml/constraints.rng.in +++ b/xml/constraints.rng.in @@ -1,155 +1,159 @@ - + + + + + - + - - + + - + - + start promote demote stop Stopped Started Master Slave diff --git a/xml/pacemaker.rng.in b/xml/pacemaker.rng.in index 922ae7eec1..f0e43f831f 100644 --- a/xml/pacemaker.rng.in +++ b/xml/pacemaker.rng.in @@ -1,111 +1,113 @@ - + + + none dtd relax-ng relax-ng-1.0 normal member ping diff --git a/xml/regression.core.sh b/xml/regression.core.sh index 4cc860b32f..dd3db312a6 100755 --- a/xml/regression.core.sh +++ b/xml/regression.core.sh @@ -1,90 +1,90 @@ #!/bin/bash # Copyright (C) 2004 Andrew Beekhof # # This program is free software; you can redistribute it and/or # modify it under the terms of the GNU General Public # License as published by the Free Software Foundation; either # version 2.1 of the License, or (at your option) any later version. # # This software is distributed in the hope that it will be useful, # but WITHOUT ANY WARRANTY; without even the implied warranty of # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU # General Public License for more details. # # You should have received a copy of the GNU General Public # License along with this library; if not, write to the Free Software # Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA # verbose=$1 io_dir=../pengine/testcases diff_opts="--ignore-all-space -u -N" failed=.regression.failed.diff # zero out the error log > $failed num_failed=0 function do_test { base=$1; shift name=$1; shift input=$io_dir/${base}.xml output=$io_dir/${base}.upgrade.xml expected=$io_dir/${base}.expected.xml if [ ! -f $input ]; then echo "Test $name ($base)... Error (PE : input)"; num_failed=`expr $num_failed + 1` return; fi echo "Test $base : $name"; if [ "$create_mode" != "true" -a ! -f $expected ]; then : # echo " Error (PE : expected)"; # return; fi xsltproc --novalid upgrade.xsl $input > $output if [ $? != 0 ]; then echo " * Failed (xml : xsltproc)"; num_failed=`expr $num_failed + 1` fi if [ ! -s $output ]; then echo " Error (xml : no conversion)"; num_failed=`expr $num_failed + 1` rm $output return; fi - xmllint --relaxng pacemaker-1.0.rng $output > /dev/null 2>&1 + xmllint --relaxng pacemaker-0.7.rng $output > /dev/null 2>&1 if [ $? != 0 ]; then echo " * Failed (xml : xmllint)"; num_failed=`expr $num_failed + 1` - xmllint --relaxng pacemaker-1.0.rng $output > /dev/null + xmllint --relaxng pacemaker-0.7.rng $output > /dev/null cat -n $output fi rm -f $output } function test_results { if [ $num_failed != 0 ]; then if [ -s $failed ]; then if [ "$verbose" = "-v" ]; then echo "Results of $num_failed failed tests...." less $failed else echo "Results of $num_failed failed tests are in $failed...." echo "Use $0 -v to display them automatically." fi else echo "$num_failed tests failed (no diff results)" rm $failed fi fi } diff --git a/xml/resources.rng.in b/xml/resources.rng.in index ffa909e095..c5c1649b1e 100644 --- a/xml/resources.rng.in +++ b/xml/resources.rng.in @@ -1,159 +1,162 @@ ocf lsb heartbeat stonith + + + Started Slave Master nothing quorum fencing ignore block stop restart fence diff --git a/xml/rule.rng.in b/xml/rule.rng.in index 2a507718c4..464afe74f9 100644 --- a/xml/rule.rng.in +++ b/xml/rule.rng.in @@ -1,134 +1,134 @@ - + or and lt gt lte gte eq ne defined not_defined string number version in_range gt lt date_spec diff --git a/xml/upgrade.xsl b/xml/upgrade.xsl index 86e9145ddc..2f92e47746 100644 --- a/xml/upgrade.xsl +++ b/xml/upgrade.xsl @@ -1,475 +1,616 @@ + xmlns:xsl="http://www.w3.org/1999/XSL/Transform" + xmlns:fn="http://www.w3.org/2005/02/xpath-functions"> .auto- - + - .auto- + .meta.auto- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + true false - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + .auto- - - - - - + + + - - - + + + + - + + + + + + + + + + + + + cluster-delay + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - .meta + - + - .meta + relax-ng - + - + 0 in_range 0 in_range - + + + + + + + + + + + + + + + + - + - + - + - + + - + - + + + - + - + - + - + + + + + + + + + + + + + + + + + + + + + + + - - - .auto- - - +